已知m序列生成多项式为y 1+x 2+x 3+x 4+x

2021-01-13 07:48:21 字数 1441 阅读 3407

1楼:莱特信息科技****

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity prsg is

port (reset,clk:in std_logic;

sel:in std_logic_vector(1 downto 0);

dout:out std_logic_vector(7 downto 0));

end prsg;

architecture behavioral of prsg is

signal ddout:std_logic_vector(7 downto 0);

signal temp:std_logic;

begin

process(sel)

begin

if reset='1' then

ddout

请问 利用matlab开发一个n=5的m序列发生器的**程序,其本原多项式为g(x)=1+x^3+x^4.如何写** **等 谢

2楼:睡神觉皇

clear

clcpntaps=[0 0 1 1 ];

n=length(pntaps)

pninitial=[0 0 0 1];

pndata=zeros(1,2^n-1);

pnregister=pninitial;

n=0;kk=0;

while kk==0

n=n+1;

pndata(1,n)=pnregister(1,1);

feedback=rem((pnregister*pntaps'),2);

pnregister=[feedback,pnregister(1,1:n-1)];

if pnregister==pninitial;

kk=1;

endend

pndata=2*pndata-1;

rm(1)=sum(pndata.*pndata)/n

for k=1:n-1

rm(k+1)=sum(pndata.*circshift(pndata,[0,k]))/n

endsubplot(2,1,1);x=[0:n-1];stem(x,pndata);

title('m序列发生器的输出');

axis([0 30 -1.5 1.5]);

subplot(2,1,2);plot(x,rm);

title('m序列的自相关函数')

axis([0 30 0 1.5]);

3楼:忘记等等哦

嗯? matlab表示只用过**和画图。。

已知x+y+2(-x-y+1)3(1-y-x)-4(y+x

1楼 原式 x y 2 x y 2 3 3 x y 4 x y 4设x y为b,则原式可变形为 b 2b 2 3 3b 4b 4 6b 5 b 5 6 即 x y 5 6 还可以把原式拆开来 x y 2x 2y 2 3 3y 3x 4y 4x 4移项 合并同类项得6x 6y 5 所以x y 5 6 ...

已知x-1 x 3,则4-1 2(x)平方+3 2x的值为

1楼 匿名用户 x 1 x 3, x 2 3x 1 4 1 2x 2 3 2x 1 2 x 2 3x 4 1 2 4 7 2。 已知x 1 x 3,则4 1 2x的平方 3 2x的值为多少 2楼 天堂蜘蛛 解原式 1 2 x 2 3x 8 因为x 1 x 3 x 2 1 3x x 2 3x 1 1 ...

已知x+y 3,xy 1,求下列各式的值x-xy+yx-y)

1楼 x xy y x 2xy y 3xy x y 3xy 3 3 9 3 6 x y x 2xy y x 2xy y 4xy x y 4xy 3 4 9 4 5祝你好运 2楼 匿名用户 1 x xy y x y 3xy 6 2 x y x y 4xy 5 已知x y 3 xy 1 求下列各式的值 ...